intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

SystemVerilog For Design phần 8

Chia sẻ: Nguyễn Hà Mỹ Ngọc | Ngày: | Loại File: PDF | Số trang:43

56
lượt xem
6
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Sử dụng giao diện như cổng mô-đun Với SystemVerilog, một cổng của một module có thể được khai báo là một kiểu giao diện, thay vì đầu vào Verilog, đầu ra hoặc hướng cổng INOUT. Rõ ràng tên là các cổng giao diện một cổng module cổng module có thể được khai báo rõ ràng là một loại hình cụ thể của intercan là phải đối mặt với tên.

Chủ đề:
Lưu

Nội dung Text: SystemVerilog For Design phần 8

ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2