intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Thiết kế logic khối đồng bộ và tách kênh PCM 30/32

Chia sẻ: Do Xuan | Ngày: | Loại File: PDF | Số trang:12

798
lượt xem
162
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

4.3:NGUYÊN LÝ HOẠT ĐỘNG VÀ SƠ ĐỒ KHỐI CỦA DEMUX: -Dòng số 2,048 Mb/s qua bộ ghi dịch để được biến đổi từ nối tiếp sang song song rồi được đưa đến các mạch nhận dạng mã đồng bộ. -Nếu từ mã đồng bộ đa khung đúng mạch nhận dạng đồng bộ đa khung sẽ có tín hiệu được tạo ra và đưa đến mạch tạo xung PE để kích cho bộ định thời thu làm việc đúng như ở bên phát.

Chủ đề:
Lưu

Nội dung Text: Thiết kế logic khối đồng bộ và tách kênh PCM 30/32

  1. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- PHẦN 4:PHẦN THIẾT KẾ: 4.1:GIỚI THIỆU: Chúng ta sẽ thiết kế bộ tách kênh cho hệ thống kênh PCM 30/32 có đặc điểm sau: -Hệ thống bao gồm 28 kênh thông tin và 2 kênh số liệu -Các kênh số liệu là kênh ở khe thời gian Ts1 và Ts30 -Các tín hiệu báo hiệu được ghép theo phương thức phân kênh kết hợp CAS. Để thống nhất trong quá trình trình bầy chúng ta qui ước như sau: TÍN HIỆU BÊN PHÁT BÊN THU CLK 2.048 Mb/s 2MCLKt 2MCLKr 2.048Mb/s 2MT 2MRx bộ đếm bit trong 1 khe P0,P1,P2 Q0,Q1,Q2 Các bit trong khe p0...p7 q0...q7 bộ đếm khe R0,R1,R2,R3,R4 TS0,TS1,TS2,TS3,TS4 Các khe trong khung rs0....rs31 ts0.....ts31 bộ đếm khung U0.U1,U2,U3 F0,F1,F2,F3 Các khung trong đa u0....u15 f0....f15 khung 4.2: YÊU CẦU KĨ THUẬT : * Dòng số 2,048 Mb/s sau khi được bên thu nhận được sẽ tách làm 2 đường: - xung đồng hồ 2.048 KHz được đưa đến bộ định thời thu. - đến bộ Demux có nhiệm vụ tách mã đồng bộ đa khung , mã đồng bộ khung chẵn ,mã đồng bộ khung lẻ,báo hiệu và tín hiệu thoại ,số liệu từ dòng số 2,048 Mb/s tại đúng bit ,khe ,khung mà chúng được ghép vào ở bên phát.Việc tách này đảm bảo đồng bộ giữa bên phát và bên thu để tín hiệu được tách ra hoàn toàn chính xác 4.3:NGUYÊN LÝ HOẠT ĐỘNG VÀ SƠ ĐỒ KHỐI CỦA DEMUX: -Dòng số 2,048 Mb/s qua bộ ghi dịch để được biến đổi từ nối tiếp sang song song rồi được đưa đến các mạch nhận dạng mã đồng bộ. -Nếu từ mã đồng bộ đa khung đúng mạch nhận dạng đồng bộ đa khung sẽ có tín hiệu được tạo ra và đưa đến mạch tạo xung PE để kích cho bộ định thời thu làm việc đúng như ở bên phát. -------------------------------------------------------------------------------------------- 17
  2. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- -Khi đã nhận dạng đúng mã đồng bộ đa khung (MK –SYN) thì các mạch nhận dạng đồng bộ đa khung chẵn (PE-SYN) và đồng bộ khung lẻ (IPE-SYN) mới hoạt động để nhận dạng mã đồng bộ đa khung và chỉ thị. -Nếu đúng mã đồng bộ khung chẵn và khung lẻ thì một mạch tổ hợp tín hiệu MK-SYN,PE-SYN,IPE-SYN và bit A được tách từ mã đồng bộ khung lẻ sẽ cho phép mở cổng nhận xung đông hồ 2048KHz (từ bộ định thời thu) và thông tin,báo hiệu. -Sau đó thông tin được đưa đến mạch tách số liệu và tách tín hiệu thoại để tách ra từng kênh riêng biệt. Các tín hiệu báo hiệu được đưa đến mạch tách báo hiệu cho từng kênh thông tin *Sơ đồ hệ thống tách kênh PCM 30/32: 2MRx Chuyển nối tiếp – song song Nhận dạng Tạo xung PE Bộ định thời thu 2MCLK MK-SYN Cổng Kiểm tra mã 2MCLK đồng bộ đa khung Kiểm tra Cổng Đảo bít PE-SYN 2MRx Kiểm tra Tách các IPE-SYN kênh thoại Tách số liệu Tách bit A Tách báo hiệu 4.4: THIẾT KẾ CỤ THỂ: *4.4.1:Bộ chuyển đổi nối tiếp - song song : -------------------------------------------------------------------------------------------- 18
  3. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- -Chức năng biến đổi từ nối tiếp sang song song được thực hiện bởi 1 bộ ghi dịch 8 bit 74LS164. -Giả sử có một mã thông tin :b0,b1,b2,b3,b4,b5,b6,b7 đưa vào chân B của 74LS164.Xung đồng hồ 2,048 MHz được đưa vào chân CK.Vì tốc độ dòng thông tin là 2,048Mbit/s nên cứ có một sườn dương của xung là lại có một bit được dịch ra đầu ra.Như vậy sau 8 xung CLK2M thì 8 bit dữ liệu nối tiếp được chuyển sang song song ở 8 đầu ra của 74LS164. *Sơ đồ: 5V +V 74LS164 b7 2MRx A Q7 b6 B Q6 Q5 b5 CLK 2,048 MHz CK Q4 b4 Q3 b3 MR Q2 b2 Q1 b1 Q0 b0 *4.4.2:Tách đồng bộ đa khung : -Khi tín hiệu số được biến đổi từ nối tiếp sang song song thì nó được đưa qua một số mạch logic tổ hợp để nhận dạng mã đồng bộ. -Mã đồng bộ đa khung là : b0 b1 b2 b3 b4 b5 b6 b7 0 0 0 0 1 0 1 1 -Nên bộ nhận dạng mã đồng bộ đa khung có hàm logic: X = b0 .b1 b2 b3 b4 b5 b6 b7 -Vậy mạch nhận dạng mã đồng bộ đa khung là mạch NAND 8 đầu vào ta dùng 74LS30 .Khi mã đồng bộ đa khung đã được nhận dạng đúng lần thứ 1 có 1 tín hiệu được tạo ra gọi là xung PE để đưa đến kích cho bộ định thời thu.Khi bộ định thời thu hoạt động sẽ kéo theo 1 số mạch kiểm tra hoạt động để kiểm tra mã đồng bộ đa khung ở những đa khung tiếp theo là đúng hay sai. -Ta sẽ thiết kế mạch kiểm tra thiết lập trạng thái đồng bộ và cho nhận thông tin nếu mã đồng bộ đa khung đúng. -Nếu mã đồng bộ đa khung sai 3 lần liên tiếp thì thiết lập trạng thái đồng bộ sai chỉ thị cấm thông tin và phải thực hiện tìm đồng bộ . * Sơ đồ mạch tách và kiểm tra đồng bộ đa khung: -------------------------------------------------------------------------------------------- 19
  4. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- 74LS04 b0 b1 74LS90 (1) b2 74LS30 74LS90 MS1 Q3 b3 MS2 AND1 MR1 Q2 NAND1 74LS74 (1) b4 MR2 Q1 A 74LS74 b5 B Q0 CK1 D1 __ Q1 MKSYN b6 S1 Q1 V1 b7 74LS20 R1 74LS90 +V q7 MS1 Q3 CK2 ts16 AND2 MS2 D2 __ Q2 MR1 Q2 NAND2 S2 Q2 f0 MR2 CLK2M R2 Q1 A B Q0 74LS90 (2) S D Q KichPE _ CK Q R 74LS74 (2) +V * Nguyên lý hoạt động của mạch: -Khi nhận đúng mã đồng bộ đa khung đầu ra của 74LS30 ở mức 0 làm ngõ ra Q của 74LS74(2) lên mức 1 kích cho mạch tạo xung PE để đưa đến bộ định thời thu.Lúc này bộ định thời thu đã hoạt động định thời gian từ bit q0 ,khe ts17,khung f0 trở đi. -Khi bộ định thời thu đã định thời đến đa khung thứ 2 ,trong khoảng thời gian q0,ts16 ,f0=1 và CLK2M =1 thì đầu ra của 74LS20 ở mức 0 cho đầu ra NOT ở mức 1. Đúng trong thời gian này,nếu mã đồng bộ đa khung đúng thì đầu ra của AND 1 ở mức 1,của AND 2 ở mức 0.Do đó 74LS90(1) được đếm lên 1 còn 74LS90(2) vẫn ở trạng thái xoá.Đầu ra của NAND 1 và NAND 2 đều ở mức 1 nên đầu ra Q1,Q2 của 74LS74(1) đều ở mức 0,chưa có kết luận về trạng thái đồng bộ. -Tại thời gian q0,ts16,F0=1 của 2 đa khung tiếp theo,nếu đồng bộ đa khung đều đúng thì khi 74LS90(1) đếm đến 3 thì đầu ra của NAND 1 ở mức 0 làm cho đầu ra Q1 lên mức 1 thiết lập trạng thái đồng bộ đúng.Đèn LED báo đồng bộ đa khung đúng sáng lên.Báo đúng từ đầu ra Q1 này cho phép mạch tách mã đồng bộ khung chẵn và khung lẻ hoạt động ,đầu ra Q2 vẫn ở mức 0. -Nhưng nếu mã đồng bộ đa khung sai thì đầu ra AND 1 ở mức 0 ,AND 2 ở mức 1 nên 74LS90(1) bị xoá về 0 và 74LS90(2) được phép đếm lên 1.Đầu ra của 2 NAND ở mức 1,đầu ra Q1,Q2 ở mức 0,chưa có kếy luận gì về trạng thái đồng bộ. -Nếu ở 2 đa khung tiếp theo mà mã đồng bộ đa khung vẫn sai thì 74LS90(2) đếm đến 3 thì đầu ra NAND 2 ở mức 0 làm cho đầu ra Q2 của 74LS74(1) lên mức 1,trạng thái đồng bộ sai thiết lập .Đèn LED báo sai đồng bộ đa khung sáng lên,tín hiệu báo sai được đưa đến để cấm mạch tách đồng bộ khung chẵn và khung lẻ hoạt động ,đồng thời xoá đầu ra Q của 74LS74 (2) -------------------------------------------------------------------------------------------- 20
  5. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- về 0 không cho phép định thời thu làm việc và chờ tìm đồng bộ đa khung.Khi đồng bộ đa khung đúng thì 74LS74 (2) này sẽ thiết lập xung PE khác cho bộ định thời thu hoạt động . *mạch tạo xung PE để kích cho bộ định thời thu: đầu vào Xung PE *4.4.3:Tách đồng bộ khung chẵn : -Sơ đồ khối chung cho phân tách đồng bộ khung chẵn và khung lẻ: Trạng thái kiểm tra đồng bộ Không Đúng Có Nhận dạng đồng Đúng Có Tổ hợp bộ khung Không Chỉ thị cấm tách Chỉ thị cho tách thông tin thông tin *Nguyên tắc hoạt động: - Mạch tách đồng bộ khung chỉ hoạt động khi đã có mã đồng bộ đa khung đúng .Mạch tách đồng bộ khung phải nhận dạng được mã đồng bộ khung -------------------------------------------------------------------------------------------- 21
  6. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- đúng hay sai và chỉ thị bằng LED ,chú ý rằng khung chẵn thì f0=0,khung lẻ f0=1. -Mạch tách đồng bộ khung chẵn làm việc tại khoảng thời gian q7=1,ts0=1,F0=0, tại những khoảng thời gian khác mạch giữ nguyên trạng thái cũ. Sơ đồ mạch tách đồng bộ khung chẵn : 74LS04 b1 5V MK-SYN +V b2 74LS30 b3 b4 b5 b6 S b7 D Q PE-SYN _ 74LS20 CK Q R F0 ts0 q7 CLK2M -Các bit của dòng số 2,048Mbit/s đã được chuyển từ nối tiếp sang song song và được đưa đến mạch nhận dạng mã đồng bộ khung chẵn. -Cũng như phần tách mã đồng bộ đa khung,ta cũng dùng mạch nhận dạng là 74LS30 mạch NAND 8 đầu vào.Mã đồng bộ khung chẵn là: b0 b1 b2 b3 b4 b5 b6 b7 1 0 0 1 1 0 1 1 Ta đưa các bit b1 – b7(không kể bit b0 vì bit này là tuỳ định,được ta đặt bằng 1) Vào 7 đầu của 74LS30 , còn đầu vào thứ 8 dành cho tín hiệu báo đúng sai của mã đồng bộ da khung MK-SYN. * Hoạt động của mạch: -Tại thời gian q7 =1,ts0=1,f0=0,nếu mã đồng bộ đa khung đúng và mã đồng bộ khung chẵn cũng đúng thì đầu ra của 74LS30 ở mức 0,do đó mạch NOT sẽ đặt mức 1 tại chân D của 74LS74,cùng lúc đầu ra của 74LS20 sẽ đặt vào chân CK của 74LS74 này một xung dương làm,đầu ra Q nhảy lên mức 1. -Tín hiệu báo đồng bộ khung chẵn đúng được dẫn đến một vi mạch để điều khiển mở cổng nhận thông tin. -Nếu tại thời điểm này mà đồng bộ khung chẵn sai hoặc mã đồng bộ đa khung sai thì ngõ ra Q của 74LS74 ở mức thấp, tín hiệu đồng bộ khung sai được dẫn đến vi mạch để điều khiển cấm nhận thông tin. *4.4.4: Tách đồng bộ khung lẻ và bit A : -------------------------------------------------------------------------------------------- 22
  7. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- -Mạch tách đồng bộ khung lẻ làm việc tại khoảng thời gian q7=1, ts0=1, F0=1 tại những thời gian khác mạch giữ nguyên trạng thái cũ.Vi mạch nhận dạng mã đồng bộ khung lẻ cũng là 74LS30.Mã đồng bộ khung lẻ là : b0 b1 b2 b3 b4 b5 b6 b7 0 1 A 1 1 1 1 1 - Bảy bit “b0,b1,b3,b4,b5,b6,b7” được đưa vào 7 đầu vào của 74LS30 (bit b2=A được đưa riêng ra mạch tách bit A).Đầu vào thứ 8 dành cho tín hiệu báo đồng bộ đa khung đúng sai. Sơ đồ mạch đồng bộ khung lẻ và bit A: 74LS04 MK SYN 74LS30 b0 b1 NOT(1) b3 74LS74 b4 CK1 b5 D1 Q1 IPE SYN __ b6 S1 Q1 b7 R1 74LS11 74LS00 b2 CK2 MK SYN D2 __ Q2 Bit A PE SYN S2 Q2 IPE SYN R2 74LS20 NOT(2) q7 ts0 F0 +V 5V CLK2M - Hoạt động của mạch đồng bộ khung lẻ hoàn toàn tương tự như hoạt động của mạch tách đồng bộ khung chẵn . *Tách bit A : -Đầu ra b2 của bộ ghi dịch 74LS164(thực hiện biến đổi từ nối tiếp sang song song ) mang bit A đưa đến vi mạch 74LS74 được ghi nhận chỉ thị tại thời gian q7=1,ts0=1,F0=1, bit A được đưa đến chân D2 của 74LS74 ,lúc này chân CK2 của 74LS74 được kích một xung dương nên bit A được đưa ra ngõ ra Q2 . Nếu bên phát đồng bộ thì A=1,do đó Q2=1. Mạch điều khiển nhận thông tin: -------------------------------------------------------------------------------------------- 23
  8. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- 74F125 2MRx 2MRx 74LS11 74LS00 MK SYN Bit A PE SYN IPE SYN 2MCLKr 2MCLKr -Các tín hiệu báo đúng hay sai của mã đồng bộ đa khung ,đồng bộ khung chẵn,khung lẻ và bit A(từ ngõ ra Q2 của 74LS74 trên) đều đưa đến vi mạch 74LS00. -Nếu tất cả các mã đồng bộ đều đúng và bit A = 1(tức là đầu vào của 74LS00 đều ở mức cao) thì đầu ra của nó ở mức thấp , cho phép mở các cổng 3 trạng thái để nhận thông tin và xung đồng hồ đưa đến mạch báo hiệu và mạch tách kênh thông tin . -Nếu một trong các mã đồng bộ khung sai hoặc bit A =0 (bên phát đồng bộ)thì đầu ra của 74LS00 ở mức cao làm đóng các cổng 3 trạng thái,bên thu không nhận thông tin mà tiến hành tìm đồng bộ . *4.4.5:Tách báo hiệu : -Các bit báo hiệu cho 30 kênh thông tin ở vị trí q0 và q4 của khe ts16 của các khung từ f1 đến f15. Khung Khe 16 q0 q1 q2 q3 q4 q5 q6 q7 a b c d a b c d f1 Báo hiệu kênh 1 Báo hiệu kênh 16 f2 Báo hiệu kênh 2 Báo hiệu kênh 17 ……….. ………………. ……………….. f14 Báo hiệu kênh 14 Báo hiệu kênh 29 f15 Báo hiệu kênh 15 Báo hiệu kênh 30 - Ta dùng 2 vi mạch phân đường 74LS154 để tách báo hiệu cho 30 kênh thông tin (mỗi vi mạch tách báo hiệu cho 15 kênh).Sau đó dùng các 74LS74 để ghi nhận và chỉ thị báo hiệu. -Hai chân E0, E1 cùng ở trạng thái thấp thì vi mạch mới hoạt động được .Do đó tín hiệu điều khiển mạch tách báo hiệu hoạt động đưa vào chân này. -------------------------------------------------------------------------------------------- 24
  9. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- -Các chân A,B,C,D là các đầu vào địa chỉ, ứng với mỗi tổ hợp trong 16 tổ hợp của 4 đầu vào địa chỉ thì chỉ có 1 trong 16 đầu ra Q0-Q15 được chọn.Thời gian 1 trong các đầu ra được chọn chính là thời gian tách bit báo hiệu ra. -74LS154(1) tách bit a báo hiệu cho các kênh 1 đến kênh 15 tại thời gian q0,ts16,nên hàm logic cho 74LS154(1) hoạt động là q0 ,ts16 ,CLK2MRx1. -74LS154(2) tách bit a báo hiệu cho các kênh 16 đến kênh 30 tại thời gian q4,ts16,nên hàm logic cho phép 74LS154(2) hoạt động là q0,ts16,CLK2MRx1. -Tại từng khung(trừ khung f0) mỗi vi mạch 74LS154 chỉ tách bit a báo hiệu 1 lần (cho một kênh thông tin) nên ta dùng các xung đếm khung F0 ,F1 ,F2 ,F3 đưa vào các chân địa chỉ A,B,C,D của 74LS154 để ứng với mỗi khung tại thời điểm cho phép nó sẽ tách 1 bit a báo hiệu ra. -Mỗi đầu ra từ Q0 đến Q15 của 2 vi mạch 74LS154 được đưa vào chân CK của một 74LS74 để ghi nhận và chỉ thị báo hiệu. *Sơ đồ mạch tách báo hiệu : 5V 2MRx +V 74LS74(1) S D Q báo hiệu kênh 1 0 _ 1 CK Q D1 F0 2 R LED1 A 3 F1 B 4 F2 C 5 74LS10 F3 D 6 7 +V 5V CLK2MRx1 8 q0 E0 9 ts16 E1 10 11 5V 12 13 14 15 74LS154(1) 0 1 2 F0 A 3 F1 B 4 F2 C 5 74LS10 F3 D 6 7 2MCLK 8 q4 E0 9 ts16 E1 10 74LS74(30) 11 12 S 13 14 D Q _ Báo hiệu kênh 30 15 CK Q D2 R LED1 74LS154(2) +V 5V +V 5V *Hoạt động của mạch : -Tại thời gian q0=1,ts16=1 của khung đầu tiên f0 tức là F0 ,F1 ,F2 ,F3=0 chân Q0 của 74LS154(1) được chọn (xuống mức thấp ) nhưng vì tại ts16 của f0 -------------------------------------------------------------------------------------------- 25
  10. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- không có báo hiệu nên ta không thể sử dụng chân này .Tương tự chân Q0 của 74LS154(2) cũng không sử dụng vì bit q4ở ts16 ,f0 không phải bit báo hiệu. -F0 =1,F1 ,F2 ,F3=0 (khung thứ hai của đa khung ),thời gian q0=1,ts16=1 thì chân Q1 của 74LS154(1) xuống mức thấp ,khi lên lại mức cao sẽ kích cho 74LS74(1) làm cho bit a báo hiệu của kênh thông tin thứ nhất (a1) được tách ra từ dòng số 2MRx,báo hiệu thuê bao sử dụng kênh này có nhấc máy hay không. Nếu a1=1 thì Q=1 LED sáng báo hiệu thuê bao nhấc máy. -Cũng tại khung này ,khi q4=1,ts16=1,chân Q1 của 74LS154(2) được chọn để tách bit báo hiệu a16. -Cứ tiếp tục như vậy ,lần lượt các đầu ra của hai vi mạch 74LS154 được chọn để kích cho các 74LS154 tách bit báo hiệu cho các kênh thông tin tiếp theo. *4.4.6:Tách số liệu : -Trước khi truyền đi tín hiệu ở các kênh thông tin đã được thực hiện đảo bit,Do vậy, bên thu muốn nhận được tín hiệu thông tin nguyên thuỷ thì phải thực hiện đảo bit lại trước khi tiến hành tách riêng từng kênh thông tin ra. -Khi thực hiện tách số liệu ra khỏi dòng số 2,048Mbit/s thì đồng thời ta phải thực hiện chuyển đổi tốc độ số liệu từ 2,048Mbit/s sang 64Kbit/s là tốc độ chuẩn của số liệu .Để chuyển đổi ta dùng một ghi dịch 74LS164,quá trình tiến hành như sau: -Ghi số liệu với tốc độ 2,048Mbit/s ,lúc này vi mạch nhập xung nhịp CLK là 2,048MHz.Thời gian ghi số liệu vào chính là lúc ts1=1(giả sử là cần tách số liệu từ khe ts1 ra ) .Hàm logic cho phép ghi số liệu vào là :CLK2MRx.ts1. -Đọc số liệu từ một đầu ra với tốc độ 64Kbit/s ,lúc này vi mạch nhập xung nhịp CLK là 64KHz.Thời gian đọc số liệu vào chính là lúc ts1=0 (ngoài khe ts1 ) .Hàm logic cho phép ghi số liệu vào là :CLK64.ts1. *Sơ đồ mạch tách số liệu từ khe ts1 và ts30: -------------------------------------------------------------------------------------------- 26
  11. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- 74LS164(1) NAND1 A Q7 CH1 CLK 64 KHz NAND3 B Q6 74LS04 Q5 74LS125 ts1 CK Q4 NAND2 Q3 MR Q2 74LS00 Q1 2MRx(so lieu) 74LS86 Q0 Q0 A Q7 CH30 Dao bit B Q6 Q5 74LS125 ts30 CK Q4 Q3 74LS04 MR Q2 Q1 Q0 CLK 2,048 MHz 74LS164(30) +V 5V *Hoạt động của mạch: -Khi ts1=1(tức là đúng khe để tách số liệu ra ) thì NAND 1 tắt ,NAND2 và NAND3 mở cho CLK 2,048 MHz đưa vào chân CK của 74LS164(1) .Lúc này chân A ở mức cao nên cho phép số liệu 2,048Mbit/s vào chân B.Từng bit số liệu được dịch vào 74LS164(1) theo xung nhịp 2,048 MHz .Trong thời gian ts1=1 sẽ có 8bit số liệu 2,048 Mbit/s được đưa ra các đầu ra của 74LS164(1). -Khi ts1=0 ,NAND2 tắt ,NAND1 và NAND3 mở cho xung CLK 64KHz vào chân CK của 74LS164(1). Lúc này chân A ở mức thấp nên số liệu 2,048Mbit/s không được nhập qua chân B nữa ,đồng thời cho phép mở cổng 3 trạng thái để số liệu đi ra .Số liệu được lấy ra ở chân Q7 theo xung nhịp 64 KHz nên có tốc độ 64Kbit/s đúng theo yêu cầu. -Quá trình tách số liệu từ khe ts30 và các khe khác cũng tương tự như trên. *4.4.7: Tách các kênh thoại: -Giả sử ta cần tách kênh tín hiệu thoại ở khe thời gian số 3 (ts2) ta sẽ sử dụng một phần tử AND và bộ giải mã để làm việc này. *sơ đồ như sau: ts2 đầu ra của tín 74LS125 hiệu thoại DECODER 2MCLK 2MRx đối với các kênh khác có thể làm tương tự. -------------------------------------------------------------------------------------------- 27
  12. Đề tài:Thiết kế logic khối đồng bộ và tách kênh PCM 30/32 Nhóm 4 - ĐT03 – K45 --------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------- 28
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2