intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Báo cáo môn học vi xử lý: Khai thác phần mềm Proteus trong mô phỏng điều khiển

Chia sẻ: Kiều Bá An | Ngày: | Loại File: PDF | Số trang:33

733
lượt xem
209
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Phần mềm Proteus là phần mềm cho phép mô phỏng hoạt động của mạch điện tử bao gồm phần thiết kế mạch và viết chương trình điều khiển cho các họ vi điều khiển như MCS-51, PIC, AVR, … Proteus là phần mềm mô phỏng mạch điện tử của Lancenter Electronics, mô phỏng cho hầu hết các linh kiện điện tử thông dụng, đặc biệt hỗ trợ cho cả các MCU như PIC, 8051, AVR, Motorola.

Chủ đề:
Lưu

Nội dung Text: Báo cáo môn học vi xử lý: Khai thác phần mềm Proteus trong mô phỏng điều khiển

  1. II Báo cáo môn học vi xử lý Khai thác phần mềm Proteus trong mô phỏng điều khiển
  2. BÁO CÁO MÔN HỌC VI XỬ LÝ – VI ĐIỀU KHIỂN ĐỀ TÀI: KHAI THÁC PHẦN MỀM PROTEUS TRONG MÔ PHỎNG VI ĐIỀU KHIỂN. GV: Ks. NGUYỄN BÁ THUẬN SVTH: NGUYỄN ĐỨC HIỀN KHOA: CƠ ĐIỆN. ĐỒNG NAI THÁNG 9 NĂM 2008. MỤC LỤC: PHẦN MỘT: GIỚI THIỆU VỀ PROTEUS PHẦN HAI: MỘT SỐ VÍ DỤ I-VÍ DỤ LED 7 ĐOẠN II-VÍ DỤ LED MA TRẬN III- VÍ DỤ LCD. IV-VÍ DỤ LED ĐƠN. V- VÍ DỤ ĐỘNG CƠ QUAY THUẬN NGHỊCH. DANH MỤC TÀI LIỆU THAM KHẢO. Phần help của phần mềm proteus 7.1 Một số Ebooks của các bạn sinh viên.
  3. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN PHẦN MỘT: GIỚI THIỆU VỀ PROTEUS Proteus là phần mềm của hãng Labcenter Electronics, nó mô phỏng được cho hầu hết các linh kiện điện tử thông dụng, đặc biệt có hỗ trợ cho cả các MCU như PIC, 8051, AVR, Motorola.Các bạn có thể tải bản demo tại địa chỉ http://www.labcenter.co.uk , đây là bản demo, nhà cung cấp không cho phép Save chương trình. Công cụ ISIS cho phép chúng ta thiết kế và mô phỏng. Công cụ ARES cho phép chúng ta thiết kế mạch in. PHẦN HAI: MỘT SỐ VÍ DỤ I-VÍ DỤ LED 7 ĐOẠN: Trong ví dụ này chúng ta sẽ mô phỏng chương trình hiển thị led 7 đoạn đếm từ 00-60. 1. Khởi động chương trình như những chương trình ứng dụng khác. Ở đây mình dùng Proteus 7.1 Sau đó ta có giao diện của Proteus. Trang 2
  4. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Thanh tác vụ Thanh trình đơn Thanh công cụ VÙNG THIẾT KẾ Vùng hiển thị các linh kiện Thanh mô phỏng Trang 3
  5. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Công cụ Giải thích Công cụ Giải thích Làm tươi màn hình Bật tắt lưới cho bản thiết kế vẽ Chọn gốc tọa độ. Các công cụ phóng to thu nhỏ. Copy, di chuyển, Công cụ chỉnh sửa, xoay, xóa. tạo thư viện Công cụ tự động đi Xuất danh sách linh dây. kiện, kiểm tra lỗi mạch điện, xuất mạch in. Con trỏ,thêm linh Nối đầu cực, vẽ kiện, gán tên đường chân linh kiện, hiển dây, thêm text, vẽ thị đồ thị, băng ghi, đường bus, mạch máy phát tín hiệu, phụ. đo volt, đo Ampe, các thiết bị ảo. Các công cụ vẽ 2D Để lấy thư viện linh kiện ta làm các bước sau: Click chọn biểu tượng. click vào nút P bản linh kiện sẽ hiện ra ta tiến hành chọn linh kiện. các thao tác giống như các chương trình ứng dụng khác. Bây giờ ta tiến hành thiết kế mạch điện. 2. Lấy các linh kiện. a>lấy IC AT89C52: Click vào chữ P. cửa sổ Pick Devices xuất hiện, đây là cửa sổ chính để ta lấy các linh kiện. Trong Proteus có hỗ trợ rất nhiều linh kiện như MCU, PIC, AVR, 8051.. và nhiều họ khác. Trang 4
  6. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN ở textbook Keyword ta nhập chữ AT89C52, sẽ xuất hiện IC89c52, ta chọn như hình. Hoặc ta vào Category> chọn Microprocesser Ics ở đây có rất nhiều linh kiện. xong ta click ok. Tại cửa sổ thiết kế ta click vào vị trí bất kỳ để đặt linh kiện. Trang 5
  7. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN b>Lấy thạch anh dao động, tại cửa sổ Pick Devices ở Keywords ta nhập CRYSTAL (hoặc tìm ở mục Miscellaneous trong Category ) Để xoay các linh kiện, bạn RightClick vào linh kiện muốn xoay và chọn các chế độ xoay ở Menu hiện ra. Trang 6
  8. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Ngoài ra bạn cũng có thể dùng phím tắt như sau: Muốn xoay cùng chiều kim đồng hồ bạn nhấn phím “trừ” bên vùng phím số. Muốn xoay ngược chiều kim đồng hồ bạn nhấn phím “cộng” bên vùng phím số. Muốn xoay đối xứng bạn nhấn phím “Ctrl+M”. c>Lấy điện trở. Ta nhập RES vào keywords.(hoặc click tìm trong Resistors của mục Category) d>Lấy nút nhấn: Ta nhập BUTTON vào keywords (hoặc click tìm trong Switches & Relay) Trang 7
  9. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN e>Lấy tụ không phân cực: Ta nhập vào CAP vào Keywords (hoặc click tìm trong CAPACITORS trong Category) Trang 8
  10. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN f>Lấy tụ phân cực: Ta nhập vào CAP POL (hoặc click tìm trong CAPACITORS) g> Tiếp theo lấy led 7 đoạn: Ta nhập 7SEG và lấy linh kiện là 7SEG-MPX2- CC (hoặc click tìm trong OPTOELECTRONICS). Trang 9
  11. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Sau khi lấy xong ta có các linh kiện như sau trên màn hình. h> Ta lấy nguồn và mass. Ta click vào bên thanh Devices xuất hiện. ta click vào POWER và đem ra ngoài vùng thiết kế. tiếp theo ta click vào GROUND để lấy mass. Ta tiến hành nối dây cho linh kiện ta được như sau: Trang 10
  12. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Nguồn mặc định là 5V nếu muốn thay đổi giá trị ta doubleclick vào nguồn> xuất hiện hộp thoại và ta khai báo nguồn như sau: Hoặc bạn cũng có thể sửa lại là +24V thì nguồn chúng ta lúc này là +24VDC. i>Ta tiến hành khai báo dao động thạch anh và AT89C52 là 12Hz. Như sau: Doubleclick vào thạch anh làm như hình. Ở phần Frequency khai báo là 12MHz Doubleclick vào AT89C52 và khai báo ở phần Clock Frequency là 12MHz Trang 11
  13. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN j> Nạp chương trình:ta Doubleclick vào AT89C52 và click vào biểu tượng bên cạnh textbook Program File chỉ đường dẫn của File *.HEX để chạy chương trình. Chương trình này chúng ta đã viết bằng những phần mềm biên dịch ngôn ngữ Assambly sang file *.HEX là file mã máy ví dụ như chương trình Pinnacle. Click vào đây và khai báo đường dẫn file *.hex Khi đó ở phần Program file có đường dẫn của đoạn chương trình chúng ta, như vậy Vi điều khiển đã được nạp xong chương trình. Sau đó click vào để mô phỏng ta có chương trình chạy như sau: Trang 12
  14. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Để biết thêm các ví dụ có sẵn trong phần mềm, bạn click vào Help>Sample Designs nơi đây có rất nhiều ví dụ hữu dụng giúp các bạn trong việc tự tìm hiểu về phần mềm này. II-VÍ DỤ LED MA TRẬN: Cũng tương tự như những bước trên, ta lấy các linh kiện cần thiết, và lấy thêm led ma trận 5x7. Cách lấy led ma trận và các linh kiện khác như bảng. Keywords Category Sub- category Results Value Matrix Optoelectronics All MATRIX-5X7- GREEN Resistor Resistors Resistor Packs Rx8 220 Npn Transistors Generic Npn Trang 13
  15. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN a> lấy led ma trận: Bạn nhập vào Keywords từ khóa Matrix (hoặc bạn có thể tìm trong Category ở mục Optoelectronics) c>Lấy transistor : bạn nhập vào Keywords từ khóa NPN ở Subcatagory chọn Generic (hoặc bạn vào mục Transistor ở mục Category). Trang 14
  16. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN d>Lấy điện trở rào: ta nhập vào Keywords từ khóa Resistor và chọn ở SubCatagory là Resistor Packs và chọn Rx8. Sau khi lấy xong và kết nối ta có được hình như sau: Sau đó chạy mô phỏng ta có hình sau: Trang 15
  17. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN III- VÍ DỤ LCD. Các linh kiện ta lấy như bài trên ở đây ta lấy thêm linh kiện LCD. Tại keywords ta nhập LC4857 (các bạn có thể lấy LCD khác) hoặc bạn có thể tìm trong CATEGORY mục OPTOELECTRICS thư viện (library) DISPLAY Sau đó ta tiến hành nối dây và có kết quả như sau: Trang 16
  18. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Khi mô phỏng ta có hình sau: Để cho gọn mạch và nhìn mạch gọn gàng đẹp đẽ ta đi dây bằng bus. Trong mạch trên cách làm như sau: Ta click vào biểu tượng Bus và vẽ đường Bus như ý muốn Trong ví dụ này ta vẽ Bus như trên hình. Trang 17
  19. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Ta tiến hành đặt tên cho các đường dây, nếu dây nào được nối với nhau thì ta đặt nhãn cùng tên, để đặt nhãn ta click vào biểu tượng và đặt vào đường dây muốn đặt nhãn và click chuột, tương tự cho đoạn đầu nối cùng tên. Một cửa sổ Edit Write Lable hiện ra ta nhập tên của đường dây vào textbox String. Lưu ý là hai đầu dây nối với nhau phải cùng tên (cùng một lable) Sau khi làm xong để kiểm tra sự kết nối của hai đầu dây trong bus bạn Rightclick vào đường dây và chọn Highlight net on Schematic. Lúc đó ta thấy các đầu dây có nối với nhau sẽ có màu đỏ, đây là cách kiểm tra thông mạch bên Proteus. Để xóa đối tượng ta Rightclick hai lần hoặc Rightclick > chọn Delete. Trang 18
  20. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Bước cuối cùng ta chuyển qua mạch in, do thư viện chân linh kiện của PROTEUS rất ít rất nhiều linh kiện thông dụng không có thư viện chân. Do đó ta phải tự tạo thư viện chân cho linh kiện. điều này khá công phu, tiếp theo đây mình sẽ đổ mạch in cho mạch LCD với nút nhấn Button chuyển thành Tụ điện (có hỗ trợ chân)1 cho dễ ví dụ. Bạn click vào biểu tượng ARES để chuyển qua chế độ đi mạch in. Click vào đây Xuất hiện hộp thoại PACKAGE SELECTOR xuất hiện yêu cầu khai báo chân linh kiện. Bạn khai báo cho điện trở như hình là RES40. Sau đó tại cửa sổ của ARES ta Rightclick chọn >PLACE>compoment chọn linh kiện ví dụ C1 và click đưa vào vùng thiết kế, và tiếp tục với các linh kiện khác. Sau khi hoàn thành ta có hình như sau. 1 Việc tạo thư viện chân xin đọc thêm tài liệu liên quan. Trang 19
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2