intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Thiết kế mạch bằng máy tính part 5

Chia sẻ: Ouiour Isihf | Ngày: | Loại File: PDF | Số trang:30

86
lượt xem
8
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Lấy ví dụ về máy thu hình (tivi): Lối vào của nó là các tín hiệu sóng truyền hình quảng bá được truyền trong không gian hoặc thông qua cáp tryền hình được thu bởi ăng-ten hoặc lối vào cáp. Các mạch xử lý tín hiệu bên trong của máy thu hình sẽ xử lý tín hiệu thu được và trích ra các thông tin về ánh sáng, màu sắc và âm thanh. Các thiết bị lối ra một ống ca-tốt. Ống ca-tốt sẽ thực hiện việc chuyển đổi các tín hiệu điện tử thành các hình ảnh có thể...

Chủ đề:
Lưu

Nội dung Text: Thiết kế mạch bằng máy tính part 5

  1. l a t hoi g ian I re q uan t inh d au \,~IO e ua ph[il1 Ill' "~l k)' hi¢u lit .6.[. 0JhiIng t in n[ Sl: dUrjc sc kh()ng h i¢u co lit) d ai nho hem g 9i lit x ung n hqn \,'il dUrje ph,in tLr e ho d i q ua. Ne'u dl) d~li e ua l in h i¢u ,,':10 16n h an hO(le h ,lng i l[, l in h ieu sc d uqe d i q ua mi..leh \'Iyi de) t re b ang t hai g ian tn~ Ian truycl1 ella p kin ILL Vi~e clLra tr~ qUi.l.n Hnh driu \'ilO e ho ph~p m() hlnh h oa nhilng: t mong ht,IP d(IC h iel H ung d e sO d o Ihl!c k hi ph[in tLr n glillg I illn vi¢e voi n hilng x ung r[I'1 ht;p. H lnh 5.26 dUd ra o I f m inh h9;\ I ruang IH!p tn~ q uan I inh d[iu ";\0 j I \ 'oi x ung e 6 d() n )ng b'-Illg h a \.;\ Xl111~ c 6 I linh 5 .26 I\llI1h hO.l e ho [lien [ lfong tre qUilll tinh (tiU ,·ilo. l\ tf~' I an == 2 d d t) fe)ng bimg lllt)1. Ph,i.n Ill" c o d() t re q uan t inh lUU \'ilO I ruycn .6.1' = I . Kili dl) r(Hlg e ua x ung btmg m
  2. t'-.Ii dfiu ra. Tin hi¢u oay ,,1: xuat hi¢o treo duu ra VI c o d() dai 16n h on th6ng s o tre q uan t inh driu ra ( 3 > 2 :::: ~I)' Trong t ruang hQ'p thu' h'-li khi tre qUitO tloh dlIQ'c d(\t Wi driu vao, b a tfn hi¢u dau vito d~u c o dl) dai nh6 h an thCmg s o tre q uan t inh d o dl) khong the' kfch hOi~t p hun tlr. D ieu nay dan t6"i vi¢c t in hi~u d iu ra kh6ng dlIQ'C h loh thanh. NhlI v~y vi¢c 016 h tnh hoa qua trlnh tre quail can tlnh gan lien voi cae d
  3. hi~u nan~ 2. M6 h lnh h6a q ua t rinh tre tin q ua cae p han h I c hue va t hanh ghi Cae chilc nang logic va d c d(lC linh t hoi gian clla d .c ph[in IU chLrc n[mg phLrc ti.lp h an so voi d c phtin l illogic ca bAn. T a hay xct vi ill,! m o ta hanh vi , .-----, l·g;rr~ QN Q C R 0 S ._--;c- s x x I I 0 0 = 4: c.,~)" == ~ 0 r-.. c." o 0 x x I I 0 0 I = 3: 6., , ,=4 .\ t c. I 0 I I I 0 = 8; S ., ~ = 6 1 C ON • t R I I I I 0 6: A\ .'()"_-~ 0 L \' .' x x x 0 0 " " .- lllnh 5.29 11111h hoa db Ire vaa/ra doi v6"i [111[111 Itr ch(rc liang trigo' D. hOi..lt d ¢ng coa philn t u t riga 0 l~lln vi¢c t heo Sl10n Ien voi hai throng tin hi¢u k h6ng d ong b¢ thiet l~p S ( s et) va khoi t
  4. dt['i.1'ilg: Illl h icu D ph:ii (;n d jnh ( k hong I hay (!(ji ) d e t rigo h o •.11 d l)ng ehlBh X iic. etC q u,i l dnh m o h lnh ho,i logIc va m 6 p hilllg ph,'li pll,lll a nh ctuqc d e yell d u nllY. D 6i \"t'5i nhQ"ng philn tV ph(['c t ap h an n lm d lc t hanh glli, qU;1 t dnll Ire lin hi~ll dul.'J"C !llO tii it chi t iel hl1n. T rang n hicu t ruang IWp, c_lc 1 m) h lnh trcn 111(['C I hanh g hi ~ll: Ch;lllg c ac c hu t rlnh t hai g ian l~p V~I nell t rong d o c o xi.ie d jnh d c I hong s 6 Ire, d e t hong s o nilY ~2 du~"iC c oi El d(> tre Ian Iruyen. ~5.5. M (. h lnh h oa t rt'll mlfc d e p han (if I()gic C ae p huung p hap d itu k hien q ua I rlnh t he ·hi~n t rinh H.I t lwe hi¢ll m o h lnh hml va n hlrng p huong p hap xV Iy g an l ien vCii 'lU{1 l rinh m 6 p hong n weh. T rong p huallg p hap b ien d jch, t rlnh IV Ihy'e hi~1l mo h inh h oa d uqc x{le d jnh b{lIlg vi¢e ph,ln IWng c ac phfin tv. N hu v,Jy, vi¢c ngtlt c ae v ang r hiin h,)L t rong nhi1"ng n:i.,lCh Weill tt! Vi:I phtm iWng philn t v e hinh EI pillro'ng rh'-Ip d icu k hien qu{\ I rlnh m (l h inh hm'!. D 6 c hinh la n guyen n htm dtin t6i \'i~e kh(lllg t he Slf d yng c ae m 6 h lnh Ire IrLI" 1110 h lnh \'6"i ct,) Ire h,'mg k h6ng. T mng n we lI~ly C!lUllg la nghi2n c uu c ae p huong p har c1ieu k hien t mng qUii t rinh 1110 h lnh h oa h uong s\f ki¢n nlur m ot p huung ti¢n m o hiBb bOlt IH'-.leh vCri d(l Ir~ kh,ic k hong. K hi slr d~lllg p huong ph(jp m o h lnh h oa h uollg s v ki~n ne'u gi,'\ Irj 1111 hi¢u ,--; \"(.,') ti..ti I hai d i6m tllai f ---; I);,,, d.u," ,"c'" g ian To k hae gi:1 tri L'(j) h.ti t hai d i6m I nr6e, di0u do co nghlil I~I xutlt hi~n Sl.r k i¢n, t a s e eoi dtng tin h ieu I hay dOl e ia tri. \,~lO l!lo-i u do d i6m To + ~l t rong . ,. ~ la d o t re Ian truv':n ~ w kicn. ~Illlh ~,ich H itlh 5.30 hoa oallh e lla philn IV d ang xcI. De m 6 t,i W xu
  5. g ian ta eh(l'" cae S~l' ki¢n d lo d anh siich luye'n t[nil e 6 th,tng hidu chcn Ir0n h lnh 5 ,30 va gl.li 1;1 d anil "'{Ieh s~r ki~n. TucJ'ng (rng v6i mbi tllt)t tilbi di~lll Ihhi gian , la sO::: e 6 m{lt d anh siieh d e S\f ki¢n XU[lt hi¢l1 V~IO lilfJi diC:1l1 cl6. Khl e 6 Illl)1 sl! ki~'n m6i xu[\1 hi¢l\. ';I,r kl¢n ni\y d uqe (lLl'a \';10 d anh ';,lcli s~r ki¢n g;\11 l ien v6i m(l( thh'i di~m thi:Ji g ian xiie djnil. '1'
  6. K hi till!e hi~n q ua 1rinh 1116 h lnh hoa t hea v ong thai g ian, c ae oU'O'e e ua qUii 1rinh 1116 p hong e() t he c1uqe b ieu (lien d uai d'.lOg s au: HI: Thie~t l~lp c ae gia trj b an d uu ella tin hi~u li.li t hai diem I +- II! va d(tt c ae gi.:i Ir! d o I hanh g ia Ir! h i¢n Ihai. B2: D(,)C cae giiJ tfi dilu vao. N eu g ia Ir! n ay k hae c ae gia tr! hi~n I hai, ta ctva c hung v ao 1~IP h qp LT l rang d o 1" = t + t-. T. B3: Nell LT = 0 , t a e huyen l ai bUlk 135, t rang t ruang h qp ngu(~e h.li, l a e huyen l ai b uue B4. l l4: N tu g ia Irj l rang LT I rung vui g ia tr! hi~n thlfi, la giiJ n guyen g ia Irj hi¢n Ihai; neu cae gia tl"j n ay k hae n hau, t;.\ Eun e(lp nh(11 g ia trj hi~ll Ih6i va c1U
  7. 0 (- I + I ( t = 4 ); Q uay h.li h uoc 3 2; 11. HS: t J: 12. Il2: G ii Iri d "" vao ( h; 0 ): L" = I ( c; 0 ) K itlll Ira L.j' L.\ = I ( c I ) \ , L.j"* 0 : Tln.rc h icn huo-c J3.4: 13. B3: D o L.jlrung vo-i gia Irj hl¢n thoi ( c; I ), Ihlfc hi~n hlf6c B5; 14. B4: I 0 (- I + 1 ( 1 =5); Q uay I~li bulic H2; 1 5.35: 1 6,82: C.ic lin hi¢u \'ao k h6ng Ihay deli gia tr!; T hvc h i¢n 3 3: K iem Ira L.\, L,:::: 0 , chuyt::n tlii blfOC 35: 17,133: 18. H5: I < - t + I ( t = 6 ); 19, 3 2: C ac t in hi¢u vao kh()ng t hay d oi gia Ir!; Thlfc hi¢n 3 3; 20.133: K icm t ra Lt., L(, = I ( c; 0 ) I, L(, * 0 . ThLfc hi¢n bu6c B4: 2 L 84: GiLi tr! hi~n t hai d ugc t hiet 1~lp b ang ( c: 0 ); C uoi c ung, ta nh~tn dU
  8. Trl'll 111l.Ie k ·. m(H lrong nhli'ng phuong p hiip d~ 1[lIlg loc dCl e ho qU{1 I dnh 1m) h lnh ho,1 \',\ m(J p h6ng I dgic 1,\ p huong p h.lp m 6 h inh hOil. hm'mg s v k ien. Vi~c I fnh loan doi \ '6i d c p h:in IV i
  9. t~p Rai c ho c huang 5 1. Hay Slr dl,l!1g p huong phap mo phong btmg bien d ieh ::; gia Ir! mo la hoat d 9ng ellil 1TIi.ICh Ir6n hlnh 8 5.1. Mi.tch hO
  10. CHUONG VI. NGON NGO" M O HINH HOA V HDl §6.1. M& d all " gon ngfr V HDL 1. N hung k hai ni~m c hung YC " gon n gil V HDL Cae phuong phap thiet kC:' dVa t fen c o s b ella cae ng(l]} n gu H DL ng;ly d og Irl1 nen ph6 bien. Cae ngon n gll' 1m) t,i phan cung HOL dugc c ae nba t hiet k e m,-~ch slr dl;lng chit y6u d~ m6 ta c au t rue ho~c h anh vi e lla c ae h~ t hong s o eho q ua t rlnh m 6 p h6ng ho,~c t hiet ke", P huong phap tiliet kc Iren cO s a cUe n gon nget H DL so \'oi cae phuo'ng p har thiet ke t ruyen thong t ren c o Sl1 C{Hl c ae c ang logic c6 cae U ll diem Sau: C ic phuong phap nay eho phcp lung nang sual thiet kc, no eho phep n ha thi6t ke t on it t hai gian han ,,-a eho p hcp n hung nguCii k h6ng c an kien thuc s au ve ph:ln cung c 6 the thiel k c p han cung. P huong p har t hiet k c dlfa tren cae ngon ng['r H DL k ha c o dQng w1'i n hung c 6ng ngh~ k hac nhau. Cae mo tii tren d e n gon ngl1 H DL e ung d ip c ae tai li~u d ge l~p v6i phan cUTig c ua ITI
  11. nhfrng muc dQ chi tiet khac nhau. M';lch nay bao g am hai d au vao vii mQt d au fa vai cac tin hi~u t~i cac dilu nay la cae tin hi~u so. N hu V~ly m~tch se . tUCing lIng v6i mQt tlll!C the co hai cHiu vao va mOt dau r a. K ien t nk c ua mi.lch d~c t,i q uan h¢ gifra cHiu vao va cHiu fa c ua m,!-ch va co the mo til t hea h anh vi , d ong truy~n d u li¢u qua m~eh, ho~c t heo d iu truc e ua mi.lCh. M 6 t a t ren m ue th1!C t he T ren m(rc thl!C the, ta m o tii ve s oluqng d .e d ing vao ra c ua n wch va \'eIm.teh s o sanh mOt cae d'.\Ilg tin hi¢u t
  12. phuong phap nay, ho';\t dQng e ua ITIi.Wh duge bi~u dien n hu cae l!ii.lCh logic t6 hqp, nhu rn,!-ch c(;lng, lm~ch s o sunh, m~ch giai mao D6i voi vi oy ve l11i;lch s o sanh, ta thay tin hi¢u C duryc gan gia tf! cua bicu thuc logic eua A va B sau lTIQl t hai g ian 10 ns sau khi co sy· l hay doi gi,i tri tin hi¢u In:n d e e ong A , B. a rchitechture D ATAFLOW o f C OMPARE is begin C
  13. 2. Qua trioh m 6 p hung N hu d J (16 e~p toi trong c huang 4. d c n.goo ngiJ' signal a H DL luon di kem v6i c~e C
  14. t~p c huang trlnh VHDL. cac nha thiet k c can cung cap hqp cac gi,i tl\ thu: nghi¢m t
  15. v a cae phD.n tLr v aa c ae lien ket ella c ae phall t u r na la t rang h¢ tim vi~n. N hu v av chuno ta d5 lhav o hlinu kh,ij n icm c huna ve n06n n gu V HDL va c- • ., '" • I:> • I:> cae giai do,-~n t rang qwi t dnh t hiet k € Slr dl;lIlg cae ngon n gu m 6 1,1 phan cung. § 6.2. C ae c au true ca S(1 trong VHDL Moi m¢1 h~ thong n wch s o d uqc thief k€ n hu Il1(Jt h¢ p han c ap c ae m 6dun. M 6i m odun luang ling voi m¢t tlwe the' ella thie't k c trcn ngon ngu Y HDL. l lwc the' thiet k c th~ hi~n mQt doi t uqng ella thiet ke phiin c ung. D oi lUqng nay c 6 c ae dau vao va d au r a dU'qc x ac d jnh r6 riing, d ong t hai thvc th6 thie't k c cOng plul.i chi f a d uqc c hue nang e ua doi tLfQTlg thOng qua c ae p hep toan duqc d!oh nghla truDe. M6i thl!c t ht thiet k€ g 6m c6 hai phiio: p han khai M o tlWe t ht va kien t rue tlwe the'. Phall k hai bao thl!c th6 1116 ta d
  16. C ac n lu h lnh (configuration) Cac t hu vi~11 ( library) !\to fa cae thl!c th~ 1. C ie khai b,io thl!e the e ho ta cai nhin d6i vai phiin ttr tnQ.ch d in dUQ'c 1116 ta tir m(lt b en ngoai. B ing d e k hai b,-lo t lwe the, phan t u lTIi;leh sc dUQ'e m a t,l b ang s o lUQ'ng \'a eh(re n ang ella d e e ang g iao t iep va d e tll1h e hat e ua clU ii~u t,-.ti c;1e ecing nay t heo phuong di¢n tu ngo~li v~lO. C ie k hai b aa thl/e th~ k han" e ho ChllflO l a thtl)' eaeh thue x,!.\' d unL > m ach. o p han t u o 0 •. . ta N g6n ngCi' V HDL duC)'e m 6 t hea nhO:ng q uy tAe eu ph
  17. 1kt(]H_COIi I; rCII_cd'lIg: [ mot/l! [ ' : g /(l_fll_klul! t aol \ ): cdng: ten cna c6ng dU0c rna ta, t ell m ode: chi h uang e ua t in hi~u I~i eong. k i/lI_con: ki~u dCf. h~u Ii-.ll c bng ho~c d .c tham s o c hung. g i6 _tiL khrii_t(lO: ,gia tr~ k hai t
  18. T rong p han c(I(·_thd/Jh~)I/(i/J_kh(Ji_b(io _III/fe_tin;;" ella lhl!c t he cht"ra khai h,lo c ae h ang so, d .c k icu ho~c t in hi¢u co t he Slr d yng t rang q ua l doh x ay . dl!ng IIwc t he. Phfin crlc_thdJJIJylullI_hii/u_tlllfc _ tll//e_thl c hua c ae biC:u lhue thl!c hi¢11 d ong thai. Cae bicu thue oily dLfqc A A -, Slr d yng de k icm tra cae d ieu ki~n r ang bUQc cae I ~ J~ phcp toal1 trong hanh vi eua thl,1'C t he c an thict kc. T a hay x ct v i dlJ !lI0 ta lm~ch c(log lTI¢t hit. C c I ~ -----,n o ut M
  19. e ntity A DDER is generic ( N : I NTEGER : = 4; M : TIME : = 10 ns ); p ort ( A, B : in BIT_VECTOR ( N - 1 d uwnto 0 ); C in: in BiT; S UM: u ut BlT_ VECTOR( N - 1 d uwntu 0 ); C out : o ut BIT ) ; e nd ADDER; 2. C ae kie'n t rue Sau khi cae phan tir Im.teh
  20. a rchitecture ( nle o f { ('II - -fhc'" is . -k h'll f lllfe ( ('/I - { p lul'lI_k iwi _/l(fO _0 ;(/ J i61_fnic 1 b egin \ dC_AC"_uill_tIIllC_'IICII_J{JI1I; f h/ii) e nd [ f hl_ki(lll" f nie ] ; T rong do. f ('/I_f!t(i'C_fh/ la ten eua d6i lUq'ng sc xay dl,mg. Ten nay phili trung vai ten e ua tlll,rc the: luong ung vai kien true cia khai ban trong phiin k hai ban thl,rc the:, Phan khai bilo plu/l1_ f.:.flw'_/)(IO J I';(I __f.:.i6/_fnic ehu:a nhling khai bao t rong t na ttt k icn true. Hai uau \ 1 eo nghla la eo th6 kh6ng c hua ll1l)t khai b ao nao ho.;te eo c hua nhicu khai bao. Phiin flam gina hai IV kho.-i b egin va e nd, ( '(Ic_UI_uill_tlll./'('_hi(;/I_d/mg_fhrfi xae dinh d ,e kh6i phlln eLrng Iheo di,lt1g e au true ho(\e hanh vi. Cae I hanh phall s ignals dl:lllg de ket n6i nhii'ng k lloi rieng bi~t e ua kien Irue, Moi tfn hi~u lUang ung vai m()t k ieu dO- lieu. Cae kieu do x,ic dinh d~mg e ua dfr li~u duQ'c Iruycn Iren d e dlfong lin hi¢u. M¢t thl!c th~ e o the co nhicu kien Irue, Nh~1 thict k e co the Xlly ch;l'ng m 6 h lnh t het k6 SLr dl:lng eac p buong p har thl!C hi¢n kh
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
2=>2