intTypePromotion=1
zunia.vn Tuyển sinh 2024 dành cho Gen-Z zunia.vn zunia.vn
ADSENSE

Viễn thông kỹ thuật số: Phần 1

Chia sẻ: _ _ | Ngày: | Loại File: PDF | Số trang:172

39
lượt xem
5
download
 
  Download Vui lòng tải xuống để xem tài liệu đầy đủ

Giáo trình Kỹ thuật số được biên soạn nhằm cung cấp những kiến thức cần thiết về kỹ thuật số cho sinh viên. Giáo trình gồm có 10 chương và được chia thành 2 phần. Phần 1 gồm có những nội dung chính sau: Hệ đếm, hàm chuyển mạch, cổng logic, mạch logic tổng hợp, trigo và mạch liên quan. Mời các bạn cùng tham khảo.

Chủ đề:
Lưu

Nội dung Text: Viễn thông kỹ thuật số: Phần 1

  1.  
ADSENSE

CÓ THỂ BẠN MUỐN DOWNLOAD

 

Đồng bộ tài khoản
4=>1